前言

使用vivado仿真的过程中,经常会遇到要查看某个信号的波形,但这个信号并没有被添加进来。这时就需要添加该信号,再重新仿真。遇到仿真时间较长的工程,效率会很低。有两种方法可以解决这个问题。


一、配置软件

首先打开settings,在弹出的页面中选中simulation,右侧出现simulation的配置页面,在simulation选项卡中xsim.simulate.log_all_signals的选项打上对钩,最后点击ok即可。
在这里插入图片描述
需要注意的是,这个配置只对当前工程有效。也就是说换个工程后,要重新配置这个选项。

二、Tcl Console命令方式

首先单击Run Simulation,在弹出的菜单中选择Run Behavioral Simulation,这就进入了波形界面;
然后点击Restart,在Tcl Console中输入指令:log_wave –r /* 。输入完毕以后按回车。
在这里插入图片描述

总结

用以上两种方法均可以实现波形全显示,要观察任意模块的中间信号波形时,只需要把信号拖到波形界面中,波形就会自动显示。提高仿真效率。

Logo

纵情码海钱塘涌,杭州开发者创新动! 属于杭州的开发者社区!致力于为杭州地区的开发者提供学习、合作和成长的机会;同时也为企业交流招聘提供舞台!

更多推荐