logo
publist
写文章

简介

该用户还未填写简介

擅长的技术栈

可提供的服务

暂无可提供的服务

解决Failed to load resource: net::ERR_CONNECTION_REFUSED问题

检查引入链接地址,如果写的是绝对地址,即localhost:端口号/文件夹/文件名,在换电脑或者软件运行时会报这个错直接改成相对地址,或者本机对应的端口号,如果之前做过其他项目,8080端口号可能被占用...

#html
watch监听,解决Vue3父组件异步props传值,子组件接收不到的问题

写静态数据的时候父组件传值子组件接收并渲染是没问题的,但当父组件异步获取数据,子组件接收数据会晚于渲染,就会产生接收不到的问题,我在用echarts绘制图表时图表就直接不显示。用watch监听props数据并在watch内进行赋值操作可解决该问题。子组件props正常接收,但是接收的数据要放在watch中监听。父组件,vue3语法。看一下控制台打印顺序。

#前端#javascript#echarts
vue3的setup函数中定义data数据,使用data数据

vue3保留了vue2的语法,但是不能混着用,setup中定义原有vue2中data数据的方法有所改变。而是用你定义的名字,我定义的是info(也可也换成其他)则用。name: "张三",在setup函数中使用数据也无需。对比原有vue2代码。

#vue.js#前端
到底了