logo
publist
写文章

简介

该用户还未填写简介

擅长的技术栈

可提供的服务

暂无可提供的服务

基于VCS使用VPI在verilog中调用c调用python进行仿真

遇到了这样一个需求,许多验证人员用python用的很熟练,但是只能通过vpi调用c代码,要用c代码实现相同功能的python代码过于繁琐,所以想着能不能在c中调用python中的方法,将其包一层变为c函数,然后用vpi调用这个c函数来实现:VPI—>C—>Python首先解决c调用pythonmain.c代码如下(引入头文件 Python.h ):代码中展示了调用有参python方法和

#python#c语言#开发语言 +1
    共 11 条
  • 1
  • 2
  • 请选择