一、数据选择器的工作原理:数据选择器就是在数字信号的传输过程中,从一组数据中选出某一个来送到输出端,也叫多路开关

二、代码实现

代码如下

module MUX41A(a,b,c,d,s0,s1,en,y);	
input  a,b,c,d;	
input  s0,s1;
input  en;
output y;        
reg y;	
always@(*)		
begin  :MUX41 //块语句
	if (en==1)
		begin	:MUX4
			case({s0,s1})			
			2'b00:y=a;                             
			2'b01:y=b;			
			2'b10:y=c;			
			2'b11:y=d;			
			default:y=0;		
			endcase
		end
	else	y=0;	
end
endmodule

三、仿真结果

Logo

旨在为数千万中国开发者提供一个无缝且高效的云端环境,以支持学习、使用和贡献开源项目。

更多推荐