看到一篇好博客,转载下https://blog.csdn.net/qq_41337361/article/details/122723681?spm=1001.2101.3001.6650.4&utm_medium=distribute.pc_relevant.none-task-blog-2%7Edefault%7ECTRLIST%7ERate-4-122723681-blog-113836405.pc_relevant_3mothn_strategy_and_data_recovery&depth_1-utm_source=distribute.pc_relevant.none-task-blog-2%7Edefault%7ECTRLIST%7ERate-4-122723681-blog-113836405.pc_relevant_3mothn_strategy_and_data_recovery&utm_relevant_index=8

目录

0.前言

1.事件event

2.信箱mailbox

3.旗语semaphore


0.前言

若多个线程之间想要进行数据交换或者知道彼此的状态以决定执行什么线程,SV中通过event、mailbox、semaphore来进行线程通信。其中event是2个线程之间的通信,semaphore是≥2个线程之间的通信。

1.事件event

当我们需要一个进程在另一个进程触发事件的时候运行该怎么办?SV中引入了event来解决这个问题。其语法如下:

触发:-> (非阻塞)(类比于接电话)

等待:@ or wait(阻塞)(类比于打电话)

注意:若用->和@搭配,一定要先@再->;若用->和wait搭配,则谁先谁后都可以。

为什么@和wait会有这种差别呢?因为@是等待边沿触发,而非1触发,因此必须先等,知道信号发生跳变,如果信号已经发生跳变了,@是察觉不到的;而wait是电平1触发,因此自然而然的弥补了@的缺点,因此也不用有先后顺序

->和@搭配:


    
    
  1. program automatic test();
  2. event e1,e2;
  3. initial begin
  4. $display( "@%0d:1:before trigger", $time);
  5. ->e1; //->和@搭配,先->不行,非阻塞,去下一个
  6. @e2; //等待e2被触发,阻塞,下面的不执行
  7. $display( "@%0d:1:after trigger", $time);
  8. end
  9. initial begin
  10. $display( "@%0d:2:before trigger", $time);
  11. -> e2; //上面的等到了e2,此时上面的display运行
  12. @e1; //阻塞,顺序错误,下面的display不会执行
  13. $display( "@%0d:2:after trigger", $time);
  14. end
  15. endprogram
  16. /* 仿真结果
  17. @0 : 1 :before trigger
  18. @0 : 2 :before trigger
  19. @0 : 1 :after trigger * /

->和wait搭配 :


    
    
  1. program automatic test();
  2. event e1,e2;
  3. initial begin
  4. $display( "@%0d:1:before trigger",$time); // 1
  5. -> e1; //触发 1
  6. wait(e2.triggered); //阻塞,等待e2被触发
  7. $display( "@%0d:1:after trigger",$time); // 4
  8. end
  9. initial begin//顺序执行完后,再回到上面执行 wait后的display
  10. $display( "@%0d:2:before trigger",$time); // 2
  11. -> e2; //e2被触发
  12. wait(e1.triggered); //e1已经被触发
  13. $display( "@%0d:2:after trigger",$time); // 3
  14. end
  15. endprogram
  16. /*仿真结果:
  17. @0: 1:before trigger
  18. @0: 2:before trigger
  19. @0: 2:after trigger
  20. @0: 1:after trigge */

wait_order:

wait_order阻塞等待多个事件的触发,并且要求这多个事件按照用户决定顺序触发。wait_order可以和else一同使用,当多个事件 按顺序触发时,执行wait_order后的语句,否则执行else后的语句。 


    
    
  1. module tb;
  2. event a, b, c;
  3. initial begin
  4. #10 -> a;
  5. #10 -> b;
  6. #10 -> c;
  7. end
  8. initial begin
  9. wait_order (a,b,c)
  10. $display ( "Events were executed in the correct order");
  11. else
  12. $display ( "Events were NOT executed in the correct order !");
  13. end
  14. endmodule

2.信箱mailbox

mailbox是一种在进程之间交换消息的机制。数据可以通过一个进程发送到Mailbox, 然后由另一个进程获取。数据可以是任何有效的SystemVerilog数据类型,包括类 class数据类型。

比如在一个验证环境中,generator将激励给driver,往往不是直接发送给driver,而是发送给generator和driver直接的mailbox,driver获取数据的时候,从mailbox中直接获得。

mailbox有几种SV自带函数,如下所示:

new()是对mailbox进行实例化;

put(xxx)是将数据放入mailbox中,是阻塞的,若mailbox放满,放不进去,则会一直重复放的动作,程序被阻塞到这个语句;

try_put(xxx)功能与put一样,但其是非阻塞的,放一次,放不进去就不放了;

get(xxx)是从mailbox中取数据,也是阻塞语句;

peek(xxx)和get()功能一致,但是get()从mailbox取出数据后,mailbox的数据就没了,而peek相当于复制了一份出来;num()是用来计算mailbox中有几个数据的。

关于mailbox的具体应用可参考这篇文章:SV小项目—异步fifo的简单验证环境搭建

下面看代码进一步理解mailbox的运用:


    
    
  1. //============事务============
  2. class transaction;
  3. rand bit valid;
  4. rand bit [ 7: 0] data;
  5. endclass
  6. //=================generator================
  7. class generator;
  8. mailbox #(transaction) gen2drv;//声明mailbox句柄,并且指明该mailbox中只能存放transaction类型的数据
  9. transaction tr;
  10. function new (input mailbox #(transaction) gen2drv); //声明和外界通信的组件时,一定要new
  11. this.gen2drv=gen2drv;
  12. endfunction
  13. task gen(input int num); //产生多少笔激励
  14. for( int i= 0;i<num;i++) begin
  15. tr= new();
  16. assert(tr.randomize)
  17. gen2drv.put(tr); //把产生的一笔激励放入mailbox中
  18. $display(“trans valid =%d”,tr.valid);
  19. $display(“trans data =%d”,tr.data);
  20. #1ns;
  21. end
  22. endtask
  23. endclass
  24. //=====================驱动========================
  25. class driver;
  26. mailbox #(transaction) gen2drv;
  27. transaction tr;
  28. function new (input mailbox #(transaction) gen2drv);
  29. this.gen2drv=gen2drv;
  30. endfunction
  31. task run;
  32. tr= new();
  33. while( 1) begin
  34. gen2drv. get(tr); //从mailbox中取出数据
  35. $display(“trans valid =%d”,tr.valid);
  36. $display(“trans data =%d”,tr.data);
  37. end
  38. endtask
  39. endclass
  40. //==================test文件==================
  41. program test;
  42. mailbox #(transaction) gen2drv;
  43. driver drv;
  44. generator gen;
  45. initial begin
  46. gen2drv= new();
  47. drv= new(gen2drv);
  48. gen= new(gen2drv);
  49. fork
  50. gen.gen( 5);
  51. drv.run;
  52. join_any
  53. end
  54. endprogram

3.旗语semaphore

当多个线程访问同一资源的时候,而这个资源只允许一个线程访问的时候,就可以用旗语来进行控制;如果把资源比作为一个仓库的话,旗语就相当于一把钥匙,每个线程需要拿到钥匙后才能对资源进行访问。当然也可以有多把钥匙,对应同一资源可以同时被访问的最大数量。

旗语有如下几个操作函数:

new(); //对“钥匙”进行实例化

get(); //线程获取钥匙,阻塞

put(); //线程将钥匙放回,阻塞

try_get(); //非阻塞


    
    
  1. class bridge;
  2. semaphore key; //声明一个旗语钥匙
  3. function new ();
  4. this.key=new( 1); //实例化 1把钥匙
  5. endfunction
  6. task go_on(string name);
  7. $display(“@%0 t: %s wants to go trough the brdige”, $time,name);
  8. key.get(); //拿到钥匙
  9. #1ns;
  10. $display(“@%0 t: %s gets permission to go trough the brdige”, $time,name);
  11. #10ns;
  12. endtask
  13. task go_off(string name);
  14. $display(“@%0 t: %s goes off the brdige”, $time,name);
  15. key.put(); //放回钥匙
  16. #1ns;
  17. $display(“@%0 t: %s returned the key.”, $time,name);
  18. endtask
  19. endclass
  20. program test;
  21. bridge brg;
  22. string name1=”Alex”;
  23. string name2=”Bob”;
  24. string name3=”Calvin”;
  25. string name4=”Denise”;
  26. initial begin
  27. brg=new();
  28. fork
  29. begin
  30. brg.go_on(name1);
  31. brg.go_off(name1);
  32. end
  33. begin
  34. brg.go_on(name2);
  35. brg.go_off(name2);
  36. end
  37. begin
  38. brg.go_on(name3);
  39. brg.go_off(name3);
  40. end
  41. begin
  42. brg.go_on(name4);
  43. brg.go_off(name4);
  44. end
  45. join
  46. end
  47. endprogram

仿真结果如下:

可见若多个线程同时要拿钥匙,则按照先后顺序依次来;下一线程只有等到上一线程归还钥匙后才能拿到钥匙。 

Logo

腾讯云面向开发者汇聚海量精品云计算使用和开发经验,营造开放的云计算技术生态圈。

更多推荐