目录

1.加载设计

2.加载波形

3.重新加载设计和波形

4.选择信号

 5.查看波形

6.mark标记时间差

7.波形文件保存 *.rc

8.获取参数值

 9.获取波形时刻里的值

 10.新建组与对组重命名,显示信号全路径

11、改变信号和波形颜色

12.查看信号及变化

13.显示状态机及其名称


1.加载设计

veidi加载设计的makefile脚本

run_verdi:
    verdi -sv -f tb_top_filelist.f -top tb_top &

告知软件支持SystemVerilog,加载设计的文件列表,设置设计顶层,后台执行

2.加载波形

手动加载,点击波形的按钮

 自动加载, 用 -ssf 命令

run_verdi:
    verdi -sv -f filelist.f -top tb_top -ssf dut.fsdb &

3.重新加载设计和波形

选中波形或设计文件 L(shift + i) 重新加载波形或设计文件,在新一次仿真完成之后Roload即可

4.选择信号

nWave波形窗口,快捷键 g 加载信号(Get signals)。在弹出的窗口选中需要添加的信号,点击滚轮选择需要添加信号的位置,也可在代码中(nTrac界面)选中信号 Ctrl+W 将信号加载在波形中

 5.查看波形

6.mark标记时间差

为方便波形定位,按键shift+M使用mark功能标记一下,可以自定义名称和颜色,方便查找。 

7.波形文件保存 *.rc

调出波形,为方便下次使用,按键shift+S可以将波形保存为xxx.rc格式文件,使用波形文件时,按快捷键r打开界面,选择波形。可以避免下次打开重新添加信号

8.获取参数值

 9.获取波形时刻里的值

选中Source>Active Annotation即可看到每一个信号,参数的数据显示,工具栏按钮D指那个信号驱动了当前信号,L是指当前信号驱动了那个信号。

 10.新建组与对组重命名,显示信号全路径

将黄线放到最后一行,添加信号即可新建一个信号组。然后鼠标选中右键即可进行相关操作,也可以选中一个模块,直接Ctrl+4即可将接口信号加入波形窗口,按快捷键H显示波形信号全路径,再按H撤销。

11、改变信号和波形颜色

按C或者T修改信号或者波形颜色,方便查看。

12.查看信号及变化

13.显示状态机及其名称

 选中状态机状态的波形,在nWave窗口选中Tools>Bus Contention>打开状态机,(关闭),返回查看波形已经变为状态的名称。
补充:选择具有状态机的模块选中,然后在主工具栏选择Tools>Extract interative FSM

 点击OK,然后点击状态机,即可看到状态的跳转。

Logo

旨在为数千万中国开发者提供一个无缝且高效的云端环境,以支持学习、使用和贡献开源项目。

更多推荐