TB6612FNG电机驱动模块纯硬件调试

原文链接:https://www.yourcee.com/newsinfo/2926465.html

模块简介

在这里插入图片描述

点击图片购买

TB6612FNG是一款新型驱动器件,能独立双向控制2个直流电机,它具有很高的集成度,同时能提供足够的输出能力,运行性能和能耗方面也具有优势,因此在集成化、小型化的电机控制系统中,它可以作为理想的电机驱动器件。
原理图如下:
在这里插入图片描述

注意: 由于坦电容的特性导致其容易炸开,不稳定的电源并不会导致每一个都炸开,使用的时候多检查电源是否稳定。

引脚定义

在这里插入图片描述
在这里插入图片描述

IN1IN2PWMSTBY输出状态
HHH/LH制动
LHHH反转
LHLH制动
HLHH正转
HLLH制动
LLHH停止
---L待机

由上面的表可知:
STBY引脚在高电平的时候模块是正常工作,低电平模块待机;PWM要有信号输入或者处于高电平且IN1和IN2电平不同时,模块才会有输出。
(两路电机单独控制)

硬件实现

材料准备

TB6612FNG电机驱动模块一个(排针会送)
一个输出5V的电源
一个输出9V的电源
杜邦线诺干
面包板一个
电机一个
XY-PWM1一个(PWM可以实现电机调速,没有也行)

实验接线

本次实验为控制一路电机
首先把TB6612FNG电机驱动模块焊上排针,冷却后插在面包板上,引出公共地和VCC5V,两个电源的地和模块的地要接在一起,
VM接8V电源正极,VCC接5V电源正极(之前已经引出5V在面包板两旁),A01、A02接直流电机,STBY接5V。
PWM接5V,AIN1接5V,AIN2接地,
在这里插入图片描述

上电即可实现电机转动,AIN1接地AIN2接5V,电机就会反转
PWM引脚接上PWM信号,调节占空比,就可以控制电机的转速(提供PWM信号的模块一样要共地)。
通过上述的硬件实现,有点基础的都可以很轻松的写出相应的代码,通过代码来控制电机可以实现更多的功能,
有什么疑问,欢迎在下留言交流,更多电子模块的学习,欢迎关注一键三连!

Logo

旨在为数千万中国开发者提供一个无缝且高效的云端环境,以支持学习、使用和贡献开源项目。

更多推荐