logo
publist
写文章

简介

该用户还未填写简介

擅长的技术栈

可提供的服务

暂无可提供的服务

gvim 跨行搜索

0x9a\(\n.*\)\{31\}\n.*0x000x9a是要匹配得\n.* 是跨行贪婪匹配{31}是跨多少行0x00是结束字符

#正则表达式
VCS coverage

【代码】VCS coverage。

文章图片
systemverilog functional coverage with argument

covergroup cg_multi_layer(ref int layer0_index, int layer1_index, input int size);cp_layer0: coverpoint layer0_index {bins id[]={[0:size]};}cp_layer1: coverpoint layer1_index {bins id[]={[0:size]};}..

VCS/Xcelium dump fsdb

verdi 查看二维数据在dump fsdb的地方加上$fsdbDumpfile(test_fsdb);$fsdbDumpvars(0, "+all", tb_top);$fsdbDumpon();// dump assertion waveform$fsdbDumpSVA;在vcs command需要加:-P $VERDI/share/PLI/VCS/...

文章图片
gvim usage

自动写入tileautocmd BufNewFile *.py,*.pl exec ":call SetTitle()""新建python"定义函数SetTitle,自动插入文件头func SetTitle()"如果文件类型为.python文件if &filetype == 'python'call setline(1, &quot

文章图片
到底了