在SystemVerilog和UVM框架下,可以使用以下代码实现ICache的参考模型:

class icache extends uvm_component;
  //声明三个模块
  mainpipe m_mainpipe;
  missunit m_missunit;
  iprefetchpipe m_iprefetchpipe;

  function new(string name, uvm_component parent);
    super.new(name, parent);
  endfunction

  virtual function void buil
Logo

腾讯云面向开发者汇聚海量精品云计算使用和开发经验,营造开放的云计算技术生态圈。

更多推荐