quartus—error:top-level design entity “xxx” is undefined

就我目前所知,有两种原因:
1、顶层模块的module名没有和工程名同名
解决方法:assignments—settings…
点击打开,选择general,在top-level entity标签指引下的编辑框输入vhdl文本实体名
2、命名与quartus库文件里某个名字重复
解决方法:改名

刚开始学quartus,网上资料还是比较杂感觉,以后遇到问题解决问题,然后在此记录,希望有大佬看到能补充或者指正,谢谢。

Logo

旨在为数千万中国开发者提供一个无缝且高效的云端环境,以支持学习、使用和贡献开源项目。

更多推荐