本文由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。

 

问题描述

这是很多新手在开始进行Modelsim仿真学习时最常遇到的问题,往往点击运行仿真后,Modelsim软件能够打开,但是无法正确出现波形内容,或者波形窗口出现,但是没有任何信号和波形。

 

问题出现原因
         你的代码编写有误,或者你的仿真脚本(nativelink)设置有误,或者其他原因
解决方法
         遇到这种问题,解决思路很简单,查看Modelsim仿真软件的Transcript窗口中的报告内容。这个窗口里面的内容有很多,是可以通过滑动条或者鼠标滚轮上下翻动的,找到报error的信息,双击,如果是代码错误,就可以自动定位到错误位置。一定要看这个信息窗口,还要翻滚着看,把里面的error、waring都分析一下。搞不定的再到我们的客户群里面截图这个信息框的内容来提问。

Logo

旨在为数千万中国开发者提供一个无缝且高效的云端环境,以支持学习、使用和贡献开源项目。

更多推荐