关于Xilinx vivado中license许可文件问题的资源获取和解决方法

————————————————————————————————————

●part1:license资源的获取
●part2:将license文件导入vivado中

————————————————————————————————————

●part1:license资源的获取

license资源获取主要通过两种方式,分别是从Xilinx官网获取和直接获取已有的license文件。Xilinx官网上的获取可以参考Xilinx官网license获取方法,本文主要提供已有的license问价供大家使用。

# ----- REMOVE LINES ABOVE HERE --------------------------
#
# This license is valid from Sun Mar 11 06:21:33 UTC 2018.
#
# This is license NODELOCKED to HOSTID=ANY;
# there is no need to run lmgrd for this license.
#
#
# This is a permanent license generated on Sun Mar 11 06:21:33 UTC 2018
INCREMENT SDK xilinxd 2019.03 permanent uncounted B148F3A429F9 \
VENDOR_STRING=hqh_123,SDK,software,permanent,_211499825_0_0_901 \
HOSTID=ANY ISSUER="Xilinx Inc" START=11-Mar-2018 TS_OK
#
# This is a permanent license generated on Sun Mar 11 06:21:33 UTC 2018
INCREMENT Analyzer xilinxd 2019.03 permanent uncounted 271EE8CBEC12 \
VENDOR_STRING=hqh_123,Analyzer,software,permanent,_211499825_0_0_065 \
HOSTID=ANY ISSUER="Xilinx Inc" START=11-Mar-2018 TS_OK
#
# This is a permanent license generated on Sun Mar 11 06:21:33 UTC 2018
INCREMENT HLS xilinxd 2019.03 permanent uncounted 8B9A1E4C1D21 \
VENDOR_STRING=hqh_123,HLS,software,permanent,_211499825_0_0_523 \
HOSTID=ANY ISSUER="Xilinx Inc" START=11-Mar-2018 TS_OK
#
# This is a permanent license generated on Sun Mar 11 06:21:33 UTC 2018
INCREMENT V_WebPACK xilinxd 2019.03 permanent uncounted B1CEF6D55F98 \
VENDOR_STRING=hqh_123,V_WebPACK,software,permanent,_211499825_0_0_825 \
HOSTID=ANY ISSUER="Xilinx Inc" START=11-Mar-2018 TS_OK
#
# ----- REMOVE LINES BELOW HERE --------------------------

将以上代码复制到文本文件中,并将文本文件命名为Xilinx.lic(其他名称也可以,但是要注意文件名要全英文并且将拓展名设置成为.lic),在这里,license文件就生成了。

————————————————————————————————————
●part2:将license文件导入vivado中

以win10系统为例,若vivado安装后不久,可以在“最近添加”中找到相应的“Manage Xilinx License”
“最近添加”
打开“Manage Xilinx License”,在左侧栏中选择“Load License”,然后在右侧选择“Copy License”。
`在这里插入图片描述
选择已经准备好的license文件,即可完成配置。在这里插入图片描述

Logo

旨在为数千万中国开发者提供一个无缝且高效的云端环境,以支持学习、使用和贡献开源项目。

更多推荐