logo
publist
写文章

简介

该用户还未填写简介

擅长的技术栈

可提供的服务

暂无可提供的服务

AXI总线的Burst Type以及地址计算 | WRAP到底是怎么一回事?

AXI总线的transaction是burst-based的,因此有必要好好研究一下不同burst type的工作原理。此处略过burst的定义以及burst size、burst length等信号的介绍。

AXI总线的out of order/interleaving到底是怎么一回事?

文章目录一、前提概念1. transaction ID2. 无需保序的transactions3. 必须保序的transactions4. 从master、slave、interconnect的角度看ID二、使用步骤1.引入库2.读入数据总结一、前提概念1. transaction IDAXI的每个Channel都有ID信号,用于区分transaction的身份。围绕ID引申出transactio

暂无文章信息